Communities

Writing
Writing
Codidact Meta
Codidact Meta
The Great Outdoors
The Great Outdoors
Photography & Video
Photography & Video
Scientific Speculation
Scientific Speculation
Cooking
Cooking
Electrical Engineering
Electrical Engineering
Judaism
Judaism
Languages & Linguistics
Languages & Linguistics
Software Development
Software Development
Mathematics
Mathematics
Christianity
Christianity
Code Golf
Code Golf
Music
Music
Physics
Physics
Linux Systems
Linux Systems
Power Users
Power Users
Tabletop RPGs
Tabletop RPGs
Community Proposals
Community Proposals
tag:snake search within a tag
answers:0 unanswered questions
user:xxxx search by author id
score:0.5 posts with 0.5+ score
"snake oil" exact phrase
votes:4 posts with 4+ votes
created:<1w created < 1 week ago
post_type:xxxx type of post
Search help
Notifications
Mark all as read See all your notifications »

Welcome to Codidact Meta!

Codidact Meta is the meta-discussion site for the Codidact community network and the Codidact software. Whether you have bug reports or feature requests, support questions or rule discussions that touch the whole network – this is the site for you.

Activity for KingDuken‭

Type On... Excerpt Status Date
Question Unable to upload a picture to answers, questions, etc.
I'm using Mozilla Firefox. When I try to upload a picture to a question or answer, I get the following error: ``` error: "Images must be one of png, jpeg, jpg, gif" ``` However, it would be easy to verify whether or not I have the correct file type for the picture. I'm 100% sure that I'm upda...
(more)
over 3 years ago
Question Can there be some sort of way to save your progress when asking/answering questions?
I was typing out a question that I was going to answer myself but when I was drafting up an answer, my internet browser decided to crash. It is a minor inconvenience but it did take me a while to type all of that. My plan was to write up an answer and then just copy and paste once I submitted the que...
(more)
almost 4 years ago
Question Body preview of writing a question can appear differently than when posted...
There was some strange behavior when I typed in my last post. I noticed that there is different behavior when you type something inside a preview of a body versus when you make a post (or save the changes). Actual result Here's an example: Bullet points after returning a single line when typ...
(more)
almost 4 years ago
Question More social media/online communities to link to profile.
As of right now (date of posting), you can only link one website and a Twitter account. I have a few ideas of accounts you can link to your profile here on Codidact: LinkedIn More website slots for blogs, podcasts, etc. Reddit There could also be some external community profiles for par...
(more)
almost 4 years ago
Answer A: Single Board Microcontroller/Computer Codidact Site (Arduinos, RPi, etc.)
Intended demographic: To capture intended users for this website and get a scope of who will utilize and benefit from this website the most, it is important to identify target demographics: People who have basic coding skills and understanding how the embedded device works Students, engine...
(more)
almost 4 years ago
Question General Engineering Codidact Website
(This may look like I'm copying and pasting a lot from my previous site proposals... and you're right! This saves a little time.) Introduction: Having some sort of website where we could talk about engineering concepts such as design, specifications, quality control, engineering economics, mode...
(more)
almost 4 years ago
Answer A: Single Board Microcontroller/Computer Codidact Site (Arduinos, RPi, etc.)
What would be considered on-topic? These are the questions that a user could be allowed to ask: Troubleshooting code and/or hardware, provided that the user provides enough information of issues that they have seen and what output they desire. Proper design and usage for electronic parts l...
(more)
almost 4 years ago
Question Single Board Microcontroller/Computer Codidact Site (Arduinos, RPi, etc.)
Introduction: Having some sort of website where we can talk about single board microcontrollers/computers could be very beneficial for engineers, hobbyists, students, enthusiasts, and people who wish to know more about the topic. My idea would be to create a website on Codidact where people can ta...
(more)
almost 4 years ago